Seguir
Zeyu Sun
Zeyu Sun
Dirección de correo verificada de ucr.edu
Título
Citado por
Citado por
Año
Recent advances in EM and BTI induced reliability modeling, analysis and optimization
SXD Tan, H Amrouch, T Kim, Z Sun, C Cook, J Henkel
Integration 60, 132-152, 2018
522018
Fast electromigration stress evolution analysis for interconnect trees using Krylov subspace method
C Cook, Z Sun, E Demircan, MD Shroff, SXD Tan
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (5), 969-980, 2018
442018
Fast electromigration immortality analysis for multisegment copper interconnect wires
Z Sun, E Demircan, MD Shroff, C Cook, SXD Tan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
422018
Voltage-based electromigration immortality check for general multi-branch interconnects
Z Sun, E Demircan, MD Shroff, T Kim, X Huang, SXD Tan
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-7, 2016
382016
VLSI Systems Long-Term Reliability–Modeling, Simulation and Optimization
SXD Tan, M Tahoori, T Kim, S Wang, Z Sun, S Kiamehr
Cham, Switzerland: Springer, 2019
332019
EMSpice: Physics-based electromigration check using coupled electronic and stress simulation
Z Sun, S Yu, H Zhou, Y Liu, SXD Tan
IEEE Transactions on Device and Materials Reliability 20 (2), 376-389, 2020
312020
Energy and lifetime optimizations for dark silicon manycore microprocessor considering both hard and soft errors
T Kim, Z Sun, HB Chen, H Wang, SXD Tan
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (9 …, 2017
262017
Fast analytic electromigration analysis for general multisegment interconnect wires
L Chen, SXD Tan, Z Sun, S Peng, M Tang, J Mao
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (2), 421-432, 2019
242019
Long-Term Reliability of Nanometer VLSI Systems
S Tan, M Tahoori, T Kim, S Wang, Z Sun, S Kiamehr
Cham: Springer, 2019
232019
Finite difference method for electromigration analysis of multi-branch interconnects
C Cook, Z Sun, T Kim, SXD Tan
2016 13th International Conference on Synthesis, Modeling, Analysis and …, 2016
222016
Em-gan: Data-driven fast stress analysis for multi-segment interconnects
W Jin, S Sadiqbatcha, Z Sun, H Zhou, SXD Tan
2020 IEEE 38th International Conference on Computer Design (ICCD), 296-303, 2020
202020
Accelerating electromigration aging for fast failure detection for nanometer ICs
Z Sun, S Sadiqbatcha, H Zhao, SXD Tan
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), 623-630, 2018
202018
A fast semi-analytic approach for combined electromigration and thermomigration analysis for general multisegment interconnects
L Chen, SXD Tan, Z Sun, S Peng, M Tang, J Mao
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
182020
Leveraging recovery effect to reduce electromigration degradation in power/ground TSV
S Wang, Z Sun, Y Cheng, SXD Tan, MB Tahoori
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 811-818, 2017
182017
Accelerating electromigration aging: Fast failure detection for nanometer ICs
S Sadiqbatcha, Z Sun, SXD Tan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
162019
Electromigration-lifetime constrained power grid optimization considering multi-segment interconnect wires
H Zhou, Y Sun, Z Sun, H Zhao, SXD Tan
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), 399-404, 2018
142018
Recovery-aware proactive TSV repair for electromigration lifetime enhancement in 3-D ICs
S Wang, T Kim, Z Sun, SXD Tan, MB Tahoori
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (3), 531-543, 2017
112017
Dynamic reliability management for near-threshold dark silicon processors
T Kim, Z Sun, C Cook, J Gaddipati, H Wang, H Chen, SXD Tan
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-7, 2016
112016
Reliability based hardware Trojan design using physics-based electromigration models
C Cook, S Sadiqbatcha, Z Sun, SXD Tan
Integration 66, 9-15, 2019
92019
EM-aware and lifetime-constrained optimization for multisegment power grid networks
H Zhou, Z Sun, S Sadiqbatcha, N Chang, SXD Tan
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (4), 940-953, 2019
92019
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20