Seguir
Kimia Zamiri Azar
Kimia Zamiri Azar
Research Assistant Professor at University of Florida
Dirección de correo verificada de ufl.edu - Página principal
Título
Citado por
Citado por
Año
SMT attack: Next generation attack on obfuscated circuits with capabilities and performance beyond the SAT attacks
KZ Azar, HM Kamali, H Homayoun, A Sasan
IACR Transactions on Cryptographic Hardware and Embedded Systems, 97-122, 2019
1552019
Full-lock: Hard distributions of sat instances for obfuscating circuits using fully configurable logic and routing blocks
HM Kamali, KZ Azar, H Homayoun, A Sasan
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
1372019
Lut-lock: A novel lut-based logic obfuscation for fpga-bitstream and asic-hardware protection
HM Kamali, KZ Azar, K Gaj, H Homayoun, A Sasan
2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 405-410, 2018
1192018
Advances in logic locking: Past, present, and prospects
HM Kamali, KZ Azar, F Farahmandi, M Tehranipoor
Cryptology ePrint Archive, 2022
762022
Threats on logic locking: A decade later
K Zamiri Azar, H Mardani Kamali, H Homayoun, A Sasan
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 471-476, 2019
752019
InterLock: An intercorrelated logic and routing locking
HM Kamali, KZ Azar, H Homayoun, A Sasan
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
672020
NNgSAT: Neural network guided SAT attack on logic locked complex structures
KZ Azar, HM Kamali, H Homayoun, A Sasan
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
552020
DFSSD: Deep faults and shallow state duality, a provably strong obfuscation solution for circuits with restricted access to scan chain
S Roshanisefat, HM Kamali, KZ Azar, SMP Dinakarrao, N Karimi, ...
2020 IEEE 38th VLSI Test Symposium (VTS), 1-6, 2020
352020
{COMA}: Communication and Obfuscation Management Architecture
KZ Azar, F Farahmand, HM Kamali, S Roshanisefat, H Homayoun, ...
22nd International Symposium on Research in Attacks, Intrusions and Defenses …, 2019
352019
DuCNoC: A high-throughput FPGA-based NoC simulator using dual-clock lightweight router micro-architecture
HM Kamali, KZ Azar, S Hessabi
IEEE Transactions on Computers 67 (2), 208-221, 2017
352017
From cryptography to logic locking: A survey on the architecture evolution of secure scan chains
KZ Azar, HM Kamali, H Homayoun, A Sasan
IEEE Access 9, 73133-73151, 2021
292021
SCRAMBLE: The state, connectivity and routing augmentation model for building logic encryption
HM Kamali, KZ Azar, H Homayoun, A Sasan
2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 153-159, 2020
292020
Data Flow Obfuscation: A New Paradigm for Obfuscating Circuits
KZ Azar, HM Kamali, S Roshanisefat, H Homayoun, CP Sotiriou, A Sasan
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1-14, 2021
262021
On designing secure and robust scan chain for protecting obfuscated logic
HM Kamali, KZ Azar, H Homayoun, A Sasan
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2020
262020
Fuzz, penetration, and ai testing for soc security verification: Challenges and solutions
KZ Azar, MM Hossain, A Vafaei, H Al Shaikh, NN Mondol, F Rahman, ...
Cryptology ePrint Archive, 2022
192022
Extru: A lightweight, fast, and secure expirable trust for the internet of things
HM Kamali, KZ Azar, S Roshanisefat, A Vakil, A Sasan
2020 IEEE 14th Dallas Circuits and Systems Conference (DCAS), 1-6, 2020
102020
FISHI: Fault injection detection in secure heterogeneous integration via power noise variation
T Zhang, ML Rahman, HM Kamali, KZ Azar, M Tehranipoor, ...
2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), 2188-2195, 2023
92023
Sharpen: Soc security verification by hardware penetration test
H Al-Shaikh, A Vafaei, MMM Rahman, KZ Azar, F Rahman, F Farahmandi, ...
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
92023
Warm up before circuit de-obfuscation? An exploration through bounded-model-checkers
KZ Azar, HM Kamali, F Farahmandi, M Tehranipoor
2022 IEEE International Symposium on Hardware Oriented Security and Trust …, 2022
92022
Chaolock: Yet another sat-hard logic locking using chaos computing
HM Kamali, KZ Azar, H Homayoun, A Sasan
2021 22nd International Symposium on Quality Electronic Design (ISQED), 387-394, 2021
92021
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20