Seguir
Jae-Joon Kim
Jae-Joon Kim
Dirección de correo verificada de snu.ac.kr - Página principal
Título
Citado por
Citado por
Año
A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
IJ Chang, JJ Kim, SP Park, K Roy
IEEE Journal of Solid-State Circuits 44 (2), 650-658, 2009
6622009
Sense-amp based adder with source follower evaluation tree
JJ Kim, CTK Chuang, RV Joshi, K Roy
US Patent 6,789,099, 2004
3842004
Double gate-MOSFET subthreshold circuit for ultralow power applications
JJ Kim, K Roy
IEEE Transactions on Electron Devices 51 (9), 1468-1474, 2004
1642004
A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations
CH Kim, JJ Kim, S Mukhopadhyay, K Roy
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13 (3), 349-357, 2005
1402005
Back-gate controlled read SRAM cell
JJ Kim, K Kim
US Patent 7,177,177, 2007
1072007
Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability
A Bansal, R Rao, JJ Kim, S Zafar, JH Stathis, CT Chuang
Microelectronics reliability 49 (6), 642-649, 2009
1022009
Negative Transconductance Heterojunction Organic Transistors and their Application to Full-Swing Ternary Circuits
H Yoo, S On, SB Lee, K Cho, JJ Kim
Advanced Materials, 2019
942019
SRAM write-ability improvement with transient negative bit-line voltage
S Mukhopadhyay, RM Rao, JJ Kim, CT Chuang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 (1), 24-32, 2009
842009
Monolithically integrated RRAM-and CMOS-based in-memory computing optimizations for efficient deep learning
S Yin, Y Kim, X Han, H Barnaby, S Yu, Y Luo, W He, X Sun, JJ Kim, J Seo
IEEE Micro 39 (6), 54-63, 2019
822019
High-performance SRAM in nanoscale CMOS: Design challenges and techniques
CT Chuang, S Mukhopadhyay, JJ Kim, K Kim, R Rao
2007 IEEE international workshop on memory technology, design and testing, 4-12, 2007
822007
Area-efficient and variation-tolerant in-memory BNN computing using 6T SRAM array
J Kim, J Koo, T Kim, Y Kim, H Kim, S Yoo, JJ Kim
2019 Symposium on VLSI Circuits, C118-C119, 2019
802019
A forward body-biased low-leakage SRAM cache: device and architecture considerations
CH Kim, JJ Kim, S Mukhopadhyay, K Roy
Proceedings of the 2003 international symposium on Low power electronics and …, 2003
802003
BitBlade: Area and Energy-Efficient Precision-Scalable Neural Network Accelerator with Bitwise Summation
S Ryu, H Kim, W Yi, JJ Kim
Proceedings of the 56th Annual Design Automation Conference (DAC), 2019
762019
8.2 8Mb/s 28Mb/mJ robust true-random-number generator in 65nm CMOS based on differential ring oscillator with feedback resistors
E Kim, M Lee, JJ Kim
2017 IEEE International Solid-State Circuits Conference (ISSCC), 144-145, 2017
722017
Improved synapse device with MLC and conductance linearity using quantized conduction for neuromorphic systems
S Lim, C Sung, H Kim, T Kim, J Song, JJ Kim, H Hwang
IEEE Electron Device Letters 39 (2), 312-315, 2018
662018
PVT-aware leakage reduction for on-die caches with improved read stability
CH Kim, JJ Kim, IJ Chang, K Roy
IEEE Journal of Solid-State Circuits 41 (1), 170-178, 2005
632005
Binaryduo: Reducing gradient mismatch in binary activation network by coupling binary activations
H Kim, K Kim, J Kim, JJ Kim
arXiv preprint arXiv:2002.06517, 2020
512020
Impact of NBTI and PBTI in SRAM bit-cells: Relative sensitivities and guidelines for application-specific target stability/performance
A Bansal, R Rao, JJ Kim, S Zafar, JH Stathis, CT Chuang
2009 IEEE International Reliability Physics Symposium, 745-749, 2009
492009
2-bit-per-cell RRAM-based in-memory computing for area-/energy-efficient deep learning
W He, S Yin, Y Kim, X Sun, JJ Kim, S Yu, JS Seo
IEEE Solid-State Circuits Letters 3, 194-197, 2020
482020
Robust level converter for sub-threshold/super-threshold operation: 100 mV to 2.5 v
IJ Chang, J Kim, K Kim, K Roy
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 (8 …, 2010
482010
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20