Seguir
Donald Kline, Jr
Donald Kline, Jr
Dirección de correo verificada de pitt.edu
Título
Citado por
Citado por
Año
Improving bit flip reduction for biased and random data
SM Seyedzadeh, R Maddah, D Kline, AK Jones, R Melhem
IEEE Transactions on Computers 65 (11), 3345-3356, 2016
282016
Leveraging transverse reads to correct alignment faults in domain wall memories
S Ollivier, D Kline, R Kawsher, R Melhem, S Banja, AK Jones
2019 49th Annual IEEE/IFIP International Conference on Dependable Systems …, 2019
232019
Dynamic partitioning to mitigate stuck-at faults in emerging memories
J Zhang, D Kline, L Fang, R Melhem, AK Jones
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 651-658, 2017
232017
Mitigating bitline crosstalk noise in dram memories
SM Seyedzadeh, D Kline Jr, AK Jones, R Melhem
Proceedings of the International Symposium on Memory Systems, 205-216, 2017
222017
Holistically evaluating the environmental impacts in modern computing systems
D Kline, N Parshook, X Ge, E Brunvand, R Melhem, PK Chrysanthis, ...
2016 Seventh International Green and Sustainable Computing Conference (IGSC …, 2016
212016
Domain-wall memory buffer for low-energy NoCs
D Kline Jr, H Xu, R Melhem, AK Jones
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
212015
Sustainable fault management and error correction for next-generation main memories
D Kline, R Melhem, AK Jones
2017 Eighth International Green and Sustainable Computing Conference (IGSC), 1-6, 2017
192017
Dark silicon considered harmful: A case for truly green computing
E Brunvand, D Kline, AK Jones
2018 Ninth International Green and Sustainable Computing Conference (IGSC), 1-8, 2018
172018
Flower and fame: A low overhead bit-level fault-map and fault-tolerance approach for deeply scaled memories
D Kline, J Zhang, R Melhem, AK Jones
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
162020
GreenChip: A tool for evaluating holistic sustainability of modern computing systems
D Kline Jr, N Parshook, X Ge, E Brunvand, R Melhem, PK Chrysanthis, ...
Sustainable Computing: Informatics and Systems 22, 322-332, 2019
162019
RETROFIT: Fault-aware wear leveling
J Zhang, D Kline, L Fang, R Melhem, AK Jones
IEEE Computer Architecture Letters 17 (2), 167-170, 2018
142018
Counter advance for reliable encryption in phase change memory
D Kline, R Melhem, AK Jones
IEEE Computer Architecture Letters 17 (2), 209-212, 2018
132018
Yoda: Judge me by my size, do you?
J Zhang, D Kline, L Fang, R Melhem, AK Jones
2017 IEEE International Conference on Computer Design (ICCD), 395-398, 2017
132017
Racetrack queues for extremely low-energy FIFOs
D Kline, H Xu, R Melhem, AK Jones
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (8 …, 2018
122018
Sustainable IC design and fabrication
D Kline, N Parshook, A Johnson, JE Stine, W Stanchina, E Brunvand, ...
2017 Eighth International Green and Sustainable Computing Conference (IGSC), 1-8, 2017
112017
Modeling STT-RAM fabrication cost and impacts in NVSim
I Bayram, E Eken, D Kline, N Parshook, Y Chen, AK Jones
2016 Seventh International Green and Sustainable Computing Conference (IGSC …, 2016
82016
Mscs: Multi-hop segmented circuit switching
D Kline Jr, K Wang, R Melhem, AK Jones
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, 179-184, 2015
72015
Predicting and mitigating single-event upsets in DRAM using HOTH
S Longofono, D Kline Jr, R Melhem, AK Jones
Microelectronics Reliability 117, 114024, 2021
62021
A CASTLE With TOWERs for Reliable, Secure Phase-Change Memory
S Longofono, D Kline, R Melhem, AK Jones
IEEE Transactions on Computers 70 (9), 1311-1324, 2020
62020
Data block partitioning methods to mitigate stuck-at faults in limited endurance memories
J Zhang, D Kline, L Fang, R Melhem, AK Jones
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (11 …, 2018
62018
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20