Seguir
niranjan soundararajan
niranjan soundararajan
AMD
No hay ninguna dirección de correo electrónico verificada.
Título
Citado por
Citado por
Año
Mechanisms for bounding vulnerabilities of processor structures
NK Soundararajan, A Parashar, A Sivasubramaniam
Proceedings of the 34th annual international symposium on Computer …, 2007
802007
Quantized AVF: A means of capturing vulnerability variations over small windows of time
A Biswas, N Soundararajan, SS Mukherjee, S Gurumurthi
IEEE workshop on silicon errors in logic-system effects, 2009
582009
GemDroid: A framework to evaluate mobile platforms
N Chidambaram Nachiappan, P Yedlapalli, N Soundararajan, ...
ACM SIGMETRICS Performance Evaluation Review 42 (1), 355-366, 2014
512014
Polymorphic stacked dram memory architecture
J Chung, N Soundararajan
US Patent App. 13/036,839, 2012
502012
Domain knowledge based energy management in handhelds
NC Nachiappan, P Yedlapalli, N Soundararajan, A Sivasubramaniam, ...
2015 IEEE 21st International Symposium on High Performance Computer …, 2015
462015
Vip: virtualizing ip chains on handheld platforms
NC Nachiappan, H Zhang, J Ryoo, N Soundararajan, ...
Proceedings of the 42nd Annual International Symposium on Computer …, 2015
392015
Dynamic power budgeting for mobile systems running graphics workloads
U Gupta, R Ayoub, M Kishinevsky, D Kadjo, N Soundararajan, U Tursun, ...
IEEE Transactions on Multi-Scale Computing Systems 4 (1), 30-40, 2017
282017
Short-circuiting memory traffic in handheld platforms
P Yedlapalli, NC Nachiappan, N Soundararajan, A Sivasubramaniam, ...
2014 47th Annual IEEE/ACM International Symposium on Microarchitecture, 166-177, 2014
262014
Twig: Profile-guided btb prefetching for data center applications
TA Khan, N Brown, A Sriraman, NK Soundararajan, R Kumar, J Devietti, ...
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
232021
Optimizing power and performance for reliable on-chip networks
A Yanamandra, S Eachempati, N Soundararajan, V Narayanan, MJ Irwin, ...
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), 431-436, 2010
212010
Efficient tag storage for large data caches
J Chung, N Soundararajan
US Patent App. 13/104,865, 2012
192012
Detecting architectural vulnerability of processor resources
A Biswas, N Soundararajan, S Mukherjee
US Patent 7,849,387, 2010
172010
Impact of dynamic voltage and frequency scaling on the architectural vulnerability of GALS architectures
N Soundararajan, N Vijaykrishnan, A Sivasubramaniam
Proceedings of the 2008 international symposium on Low Power Electronics …, 2008
162008
Thermometer: profile-guided btb replacement for data center applications
S Song, TA Khan, SM Shahri, A Sriraman, NK Soundararajan, ...
Proceedings of the 49th Annual International Symposium on Computer …, 2022
142022
Pdede: Partitioned, deduplicated, delta branch target buffer
NK Soundararajan, P Braun, TA Khan, B Kasikci, H Litz, S Subramoney
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
132021
Characterizing the soft error vulnerability of multicores running multithreaded applications
N Soundararajan, A Sivasubramaniam, V Narayanan
ACM SIGMETRICS Performance Evaluation Review 38 (1), 379-380, 2010
132010
Towards resilient micro-architectures: Datapath reliability enhancement using STT-MRAM
K Swaminathan, R Mukundrajan, N Soundararajan, V Narayanan
2011 IEEE Computer Society Annual Symposium on VLSI, 236-241, 2011
102011
Branch predictor with empirical branch bias override
NK Soundararajan, S Subramoney, R Pal, R Natarajan
US Patent 10,423,422, 2019
92019
User-aware frame rate management in android smartphones
B Egilmez, M Schuchhardt, G Memik, R Ayoub, N Soundararajan, ...
ACM Transactions on Embedded Computing Systems (TECS) 16 (5s), 1-17, 2017
92017
Memory in processor-supercomputer on a chip: processor design and execution semantics for massive single-chip performance
N Venkateswaran, A Shriraman, N Soundararajan
19th IEEE International Parallel and Distributed Processing Symposium, 8 pp., 2005
92005
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20