Seguir
Dimitrios Soudris
Dimitrios Soudris
Otros nombresDimitrios J. Soudris
Professor, Electrical & Computer Eng., National Technical Univ. of Athens
Dirección de correo verificada de microlab.ntua.gr - Página principal
Título
Citado por
Citado por
Año
ECG signal analysis and arrhythmia detection on IoT wearable medical devices
D Azariadi, V Tsoutsouras, S Xydis, D Soudris
2016 5th International conference on modern circuits and systems …, 2016
2122016
A survey on FEC codes for 100 G and beyond optical networks
G Tzimpragos, C Kachris, IB Djordjevic, M Cvijetic, D Soudris, I Tomkos
IEEE Communications Surveys & Tutorials 18 (1), 209-221, 2014
1932014
Computation offloading and resource allocation for low-power IoT edge devices
F Samie, V Tsoutsouras, L Bauer, S Xydis, D Soudris, J Henkel
2016 IEEE 3rd world forum on internet of things (WF-IoT), 7-12, 2016
1872016
Design-efficient approximate multiplication circuits through partial product perforation
G Zervakis, K Tsoumanis, S Xydis, D Soudris, K Pekmestzi
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (10 …, 2016
1622016
Approximate hybrid high radix encoding for energy-efficient inexact multipliers
V Leon, G Zervakis, D Soudris, K Pekmestzi
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (3), 421-430, 2017
1492017
High-performance embedded computing in space: Evaluation of platforms for vision-based navigation
G Lentaris, K Maragos, I Stratakos, L Papadopoulos, O Papanikolaou, ...
Journal of Aerospace Information Systems 15 (4), 178-192, 2018
1382018
Fine-and coarse-grain reconfigurable computing
S Vassiliadis, D Soudris
Springer, 2007
1332007
Designing CMOS circuits for low power
D Soudris, C Piguet, C Goutis
Kluwer academic publishers, 2002
1212002
A survey on reconfigurable accelerators for cloud computing
C Kachris, D Soudris
2016 26th International conference on field programmable logic and …, 2016
1202016
Designing 2D and 3D network-on-chip architectures
K Tatas, K Siozios, D Soudris, A Jantsch
Springer, 2014
1132014
Three dimensional system integration: IC stacking process and design
A Papanikolaou, D Soudris, R Radojcic
Springer Science & Business Media, 2010
942010
A Survey of Coarse-Grain Reconfigurable Architectures and Cad Tools: Basic Definitions, Critical Design Issues and Existing Coarse-grain Reocnfigurable Systems
G Theodoridis, D Soudris, S Vassiliadis
Fine-and Coarse-Grain Reconfigurable Computing, 89-149, 2007
922007
Hardware approximate techniques for deep neural network accelerators: A survey
G Armeniakos, G Zervakis, D Soudris, J Henkel
ACM Computing Surveys 55 (4), 1-36, 2022
682022
Walking through the energy-error Pareto frontier of approximate multipliers
V Leon, G Zervakis, S Xydis, D Soudris, K Pekmestzi
IEEE Micro 38 (4), 40-49, 2018
602018
Distributed run-time resource management for malleable applications on many-core platforms
I Anagnostopoulos, V Tsoutsouras, A Bartzas, D Soudris
Proceedings of the 50th annual design automation conference, 1-6, 2013
582013
A method for detailed, short-term energy yield forecasting of photovoltaic installations
D Anagnostos, T Schmidt, S Cavadias, D Soudris, J Poortmans, ...
Renewable Energy 130, 122-129, 2019
572019
HW/SW codesign and FPGA acceleration of visual odometry algorithms for rover navigation on Mars
G Lentaris, I Stamoulias, D Soudris, M Lourakis
IEEE Transactions on Circuits and Systems for Video Technology 26 (8), 1563-1577, 2015
562015
A heterogeneous multicore system on chip with run-time reconfigurable virtual FPGA architecture
M Hubner, P Figuli, R Girardey, D Soudris, K Siozios, J Becker
2011 IEEE International Symposium on Parallel and Distributed Processing …, 2011
562011
The circuit design of multiple-valued logic voltage-mode adders
IM Thoidis, D Soudris, JM Fernandez, A Thanailakis
ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems …, 2001
552001
Systematic dynamic memory management design methodology for reduced memory footprint
D Atienza, JM Mendias, S Mamagkakis, D Soudris, F Catthoor
ACM Transactions on Design Automation of Electronic Systems (TODAES) 11 (2 …, 2006
542006
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20