Seguir
C. Grant Willson
C. Grant Willson
Professor University of Texas IBM
Dirección de correo verificada de che.utexas.edu
Título
Citado por
Citado por
Año
New approaches to nanofabrication: molding, printing, and other techniques
BD Gates, Q Xu, M Stewart, D Ryan, CG Willson, GM Whitesides
Chemical reviews 105 (4), 1171-1196, 2005
26122005
An introduction to lithography
LF Thompson
12301983
Step and flash imprint lithography: a new approach to high-resolution patterning
M Colburn, SC Johnson, MD Stewart, S Damle, TC Bailey, B Choi, ...
Emerging Lithographic Technologies III 3676, 379-389, 1999
10601999
Positive-and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
H Ito, CG Willson, JMJ Frechet
US Patent 4,491,628, 1985
7971985
Chemical amplification in the design of dry developing resist materials
H Ito, CG Willson
Polymer Engineering & Science 23 (18), 1012-1018, 1983
7331983
Block copolymer lithography
CM Bates, MJ Maher, DW Janes, CJ Ellison, CG Willson
Macromolecules 47 (1), 2-12, 2014
6532014
Step and flash imprint lithography
CG Willson, ME Colburn
US Patent 6,334,960, 2002
5672002
High-throughput sequencing of the paired human immunoglobulin heavy and light chain repertoire
BJ DeKosky, GC Ippolito, RP Deschner, JJ Lavinder, Y Wine, ...
Nature biotechnology 31 (2), 166-169, 2013
5162013
Step and flash imprint lithography: Template surface treatment and defect analysis
T Bailey, BJ Choi, M Colburn, M Meissl, S Shaya, JG Ekerdt, ...
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2000
5132000
Polarity-switching top coats enable orientation of sub–10-nm block copolymer domains
CM Bates, T Seshimo, MJ Maher, WJ Durand, JD Cushen, LM Dean, ...
Science 338 (6108), 775-779, 2012
4422012
Template for room temperature, low pressure micro-and nano-imprint lithography
T Bailey, BJ Choi, M Colburn, SV Sreenivasan, CG Willson, J Ekerdt
US Patent 6,696,220, 2004
4222004
Novel second‐order nonlinear optical polymers via chemical cross‐linking‐induced vitrification under electric field
M Eich, B Reck, DY Yoon, CG Willson, GC Bjorklund
Journal of applied Physics 66 (7), 3241-3247, 1989
4161989
Poly (p-tert-butoxycarbonyloxystyrene): a convenient precursor to p-hydroxystyrene resins
JMJ Frechet, E Eichler, H Ito, CG Willson
Polymer 24 (8), 995-1000, 1983
3721983
Chemical amplification in high-resolution imaging systems
SA MacDonald, CG Willson, JMJ Frechet
Accounts of Chemical Research 27 (6), 151-158, 1994
3311994
Electrochromic and optical waveguide studies of corona-poled electro-optic polymer films
RH Page, MC Jurich, B Reck, A Sen, RJ Twieg, JD Swalen, GC Bjorklund, ...
JOSA B 7 (7), 1239-1250, 1990
3291990
Method for imprint lithography using an electric field
SV Sreenivasan, RT Bonnecaze, CG Willson
US Patent 6,908,861, 2005
2462005
Oligosaccharide/silicon-containing block copolymers with 5 nm features for lithographic applications
JD Cushen, I Otsuka, CM Bates, S Halila, S Fort, C Rochas, JA Easley, ...
ACS nano 6 (4), 3424-3433, 2012
2402012
Highly efficient and stable nonlinear optical polymers via chemical cross‐linking under electric field
D Jungbauer, B Reck, R Twieg, DY Yoon, CG Willson, JD Swalen
Applied Physics Letters 56 (26), 2610-2612, 1990
2351990
Airborne chemical contamination of a chemically amplified resist
SA MacDonald, NJ Clecak, HR Wendt, CG Willson, CD Snyder, CJ Knors, ...
Advances in Resist Technology and Processing VIII 1466, 2-12, 1991
2281991
Approaches to the Design of Radiation‐Sensitive Polymeric Imaging Systems with Improved Sensitivity and Resolution
CG Willson, H Ito, JMJ Fréchet, TG Tessier, FM Houlihan
Journal of the Electrochemical Society 133 (1), 181, 1986
2171986
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20