Seguir
Ao Ren
Ao Ren
Dirección de correo verificada de cqu.edu.cn
Título
Citado por
Citado por
Año
Sc-dcnn: Highly-scalable deep convolutional neural network using stochastic computing
A Ren, Z Li, C Ding, Q Qiu, Y Wang, J Li, X Qian, B Yuan
ACM SIGPLAN Notices 52 (4), 405-418, 2017
2542017
Admm-nn: An algorithm-hardware co-design framework of dnns using alternating direction methods of multipliers
A Ren, T Zhang, S Ye, J Li, W Xu, X Qian, X Lin, Y Wang
Proceedings of the Twenty-Fourth International Conference on Architectural …, 2019
1962019
VIBNN: Hardware acceleration of Bayesian neural networks
R Cai, A Ren, N Liu, C Ding, L Wang, X Qian, M Pedram, Y Wang
ACM SIGPLAN Notices 53 (2), 476-488, 2018
1052018
HEIF: Highly efficient stochastic computing-based inference framework for deep neural networks
Z Li, J Li, A Ren, R Cai, C Ding, X Qian, J Draper, B Yuan, J Tang, Q Qiu, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
922018
Towards acceleration of deep convolutional neural networks using stochastic computing
J Li, A Ren, Z Li, C Ding, B Yuan, Q Qiu, Y Wang
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), 115-120, 2017
842017
Deep reinforcement learning: Framework, applications, and embedded implementations
H Li, T Wei, A Ren, Q Zhu, Y Wang
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 847-854, 2017
762017
Flexible clustered federated learning for client-level data distribution shift
M Duan, D Liu, X Ji, Y Wu, L Liang, X Chen, Y Tan, A Ren
IEEE Transactions on Parallel and Distributed Systems 33 (11), 2661-2674, 2021
672021
Hardware-driven nonlinear activation for stochastic computing based deep convolutional neural networks
J Li, Z Yuan, Z Li, C Ding, A Ren, Q Qiu, J Draper, Y Wang
2017 International Joint Conference on Neural Networks (IJCNN), 1230-1236, 2017
632017
Dscnn: Hardware-oriented optimization for stochastic computing based deep convolutional neural networks
Z Li, A Ren, J Li, Q Qiu, Y Wang, B Yuan
2016 IEEE 34th International Conference on Computer Design (ICCD), 678-681, 2016
632016
Designing reconfigurable large-scale deep learning systems using stochastic computing
A Ren, Z Li, Y Wang, Q Qiu, B Yuan
2016 IEEE International Conference on Rebooting Computing (ICRC), 1-7, 2016
532016
Structural design optimization for deep convolutional neural networks using stochastic computing
Z Li, A Ren, J Li, Q Qiu, B Yuan, J Draper, Y Wang
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
472017
7.5 A 65nm 0.39-to-140.3 TOPS/W 1-to-12b unified neural network processor using block-circulant-enabled transpose-domain acceleration with 8.1× higher TOPS/mm 2 and 6T HBST …
J Yue, R Liu, W Sun, Z Yuan, Z Wang, YN Tu, YJ Chen, A Ren, Y Wang, ...
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 138-140, 2019
462019
A stochastic-computing based deep learning framework using adiabatic quantum-flux-parametron superconducting technology
R Cai, A Ren, O Chen, N Liu, C Ding, X Qian, J Han, W Luo, N Yoshikawa, ...
Proceedings of the 46th International Symposium on Computer Architecture …, 2019
442019
FedSAE: A novel self-adaptive federated learning framework in heterogeneous systems
L Li, M Duan, D Liu, Y Zhang, A Ren, X Chen, Y Tan, C Wang
2021 International Joint Conference on Neural Networks (IJCNN), 1-10, 2021
392021
CSAFL: A clustered semi-asynchronous federated learning framework
Y Zhang, M Duan, D Liu, L Li, A Ren, X Chen, Y Tan, C Wang
2021 International Joint Conference on Neural Networks (IJCNN), 1-10, 2021
392021
Normalization and dropout for stochastic computing-based deep convolutional neural networks
J Li, Z Yuan, Z Li, A Ren, C Ding, J Draper, S Nazarian, Q Qiu, B Yuan, ...
Integration 65, 395-403, 2019
312019
Improving dnn fault tolerance using weight pruning and differential crossbar mapping for reram-based edge ai
G Yuan, Z Liao, X Ma, Y Cai, Z Kong, X Shen, J Fu, Z Li, C Zhang, H Peng, ...
2021 22nd International Symposium on Quality Electronic Design (ISQED), 135-141, 2021
302021
A majority logic synthesis framework for adiabatic quantum-flux-parametron superconducting circuits
R Cai, O Chen, A Ren, N Liu, C Ding, N Yoshikawa, Y Wang
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 189-194, 2019
302019
Structured weight matrices-based hardware accelerators in deep neural networks: Fpgas and asics
C Ding, A Ren, G Yuan, X Ma, J Li, N Liu, B Yuan, Y Wang
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 353-358, 2018
262018
An area and energy efficient design of domain-wall memory-based deep convolutional neural networks using stochastic computing
X Ma, Y Zhang, G Yuan, A Ren, Z Li, J Han, J Hu, Y Wang
2018 19th International Symposium on Quality Electronic Design (ISQED), 314-321, 2018
242018
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20