Seguir
Ji Li
Ji Li
Principal Applied Science Manager at Microsoft
Dirección de correo verificada de microsoft.com - Página principal
Título
Citado por
Citado por
Año
Sc-dcnn: highly-scalable deep convolutional neural network using stochastic computing
A Ren, J Li, Z Li, C Ding, X Qian, Q Qiu, B Yuan, Y Wang
22nd ACM International Conference on Architectural Support for Programming …, 2017
2522017
DRL-cloud: Deep reinforcement learning-based resource provisioning and task scheduling for cloud service providers
M Cheng, J Li, S Nazarian
2018 23rd Asia and South pacific design automation conference (ASP-DAC), 129-134, 2018
2132018
Ftrans: energy-efficient acceleration of transformers using fpga
B Li, S Pandey, H Fang, Y Lyv, J Li, J Chen, M Xie, L Wan, H Liu, C Ding
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics …, 2020
1112020
HEIF: Highly efficient stochastic computing-based inference framework for deep neural networks
Z Li, J Li, A Ren, R Cai, C Ding, X Qian, J Draper, B Yuan, J Tang, Q Qiu, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
922018
Towards acceleration of deep convolutional neural networks using stochastic computing
J Li, A Ren, Z Li, C Ding, B Yuan, Q Qiu, Y Wang
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), 115-120, 2017
832017
Fully printed all-solid-state organic flexible artificial synapse for neuromorphic computing
Q Liu, Y Liu, J Li, C Lau, F Wu, A Zhang, Z Li, M Chen, H Fu, J Draper, ...
ACS applied materials & interfaces 11 (18), 16749-16757, 2019
782019
Hardware-driven nonlinear activation for stochastic computing based deep convolutional neural networks
J Li, Z Yuan, Z Li, C Ding, A Ren, Q Qiu, J Draper, Y Wang
2017 International Joint Conference on Neural Networks (IJCNN), 1230-1236, 2017
632017
Dscnn: Hardware-oriented optimization for stochastic computing based deep convolutional neural networks
Z Li, A Ren, J Li, Q Qiu, Y Wang, B Yuan
2016 IEEE 34th International Conference on Computer Design (ICCD), 678-681, 2016
632016
Structural Design Optimization for Deep Convolutional Neural Networks using Stochastic Computing
Z Li, A Ren, J Li, Q Qiu, B Yuan, J Draper, Y Wang
Design Automation and Test in Europe (DATE), 2017
472017
Tag: Gradient attack on transformer-based language models
J Deng, Y Wang, J Li, C Shang, H Liu, S Rajasekaran, C Ding
arXiv preprint arXiv:2103.06819, 2021
412021
Normalization and dropout for stochastic computing-based deep convolutional neural networks
J Li, Z Yuan, Z Li, A Ren, C Ding, J Draper, S Nazarian, Q Qiu, B Yuan, ...
Integration 65, 395-403, 2019
312019
Fundamental challenges toward making the iot a reachable reality: A model-centric investigation
Y Xue, J Li, S Nazarian, P Bogdan
ACM Transactions on Design Automation of Electronic Systems (TODAES) 22 (3 …, 2017
292017
Efficient transformer-based large scale language representations using hardware-friendly block structured pruning
B Li, Z Kong, T Zhang, J Li, Z Li, H Liu, C Ding
arXiv preprint arXiv:2009.08065, 2020
282020
Fast and energy-aware resource provisioning and task scheduling for cloud systems
H Li, J Li, W Yao, S Nazarian, X Lin, Y Wang
2017 18th international symposium on quality electronic design (ISQED), 174-179, 2017
282017
Accelerated soft-error-rate (SER) estimation for combinational and sequential circuits
J Li, J Draper
ACM Transactions on Design Automation of Electronic Systems (TODAES) 22 (3 …, 2017
262017
Multisource indoor energy harvesting for nonvolatile processors
C Ding, N Liu, Y Wang, J Li, S Heidari, J Hu, Y Liu
IEEE Design & Test 34 (3), 42-49, 2017
252017
Negotiation-based task scheduling and storage control algorithm to minimize user's electric bills under dynamic prices
J Li, Y Wang, X Lin, S Nazarian, M Pedram
The 20th Asia and South Pacific Design Automation Conference, 261-266, 2015
252015
Negotiation-based task scheduling to minimize user's electricity bills under dynamic energy prices
J Li, Y Wang, T Cui, S Nazarian, M Pedram
Green Communications (OnlineGreencomm), 2014 IEEE Online Conference on, 1-6, 2014
252014
Softmax regression design for stochastic computing based deep convolutional neural networks
Z Yuan, J Li, Z Li, C Ding, A Ren, B Yuan, Q Qiu, J Draper, Y Wang
Proceedings of the on Great Lakes Symposium on VLSI 2017, 467-470, 2017
222017
Accelerating soft-error-rate (ser) estimation in the presence of single event transients
J Li, J Draper
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
222016
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20